Bibliothèques écrites en VHDL

GAIA3

Processeur GAIA.
  • 24

RedPitaya_Acquisition

Transformez le Pitaya rouge en carte d'acquisition.
  • 21

spi-to-axi-bridge

Un pont SPI vers AXI4-lite pour une interface facile des banques de registres airhdl avec n'importe quel microcontrôleur.
  • 21
  • Apache License 2.0

mrisc32-a1

Une implémentation VHDL scalaire en pipeline, dans l'ordre, de l'ISA MRISC32.
  • 20

VHDL-Guide

Guide VHDL.
  • 20

neorv32-riscof

✔️Port de RISCOF pour vérifier la compatibilité RISC-V ISA du processeur NEORV32.
  • 19
  • BSD 3-clause "New" or "Revised"

wb_spi_bridge

🌉 Un pont Wishbone-to-SPI transparent prenant en charge Execute-In-Place (XIP)..
  • 19
  • BSD 3-clause "New" or "Revised"

simple-riscv

Un simple processeur RISC-V à trois étages.
  • 19
  • MIT

karabas-128

Karabas-128. Clone ZX Spectrum 128k, basé sur CPLD Altera EPM7128STC100.
  • 18
  • Do What The F*ck You Want To Public

kvm-ip-zynq

Passerelle KVM sur IP ciblant le SoC Zynq-7000.
  • 17
  • MIT

j-core-ice40

J-core SOC pour ice40 FPGA.
  • 17

fpu-sp

Bibliothèque à virgule flottante IEEE 754 dans system-verilog et vhdl.
  • 17
  • Apache License 2.0

pocket-cnn

CNN-to-FPGA-framework pour petit CNN, écrit en VHDL et Python.
  • 16
  • Mozilla Public License 2.0

Flo-Posit

Posit Arithmetic Cores générés avec FloPoCo.
  • 14
  • GNU General Public License v3.0 only

vhdl-axis-uart

Interface UART vers AXI Stream écrite en VHDL.
  • 14
  • MIT

Brutzelkarte_FPGA

Le code de description Brutzelkarte FPGA en VHDL.
  • 13
  • GNU General Public License v3.0 only

vunit_action

Action VUnit GitHub.
  • 13
  • MIT

rv16poc

Preuve de concept RISC-V 16 bits.
  • 13
  • Apache License 2.0

hVHDL_example_project

Un exemple de projet qui utilise de nombreuses idées et fonctionnalités des bibliothèques hVHDL comme les modules mathématiques à virgule fixe et flottante et a des scripts de construction pour les FPGA les plus courants.
  • 12

ORCA-risc-v

RISC-V par VectorBlox.
  • 12
  • GNU General Public License v3.0

riscv-debug-dtm

🐛 Module de transport de débogage JTAG (DTM) - compatible avec la spécification de débogage RISC-V..
  • 12
  • BSD 3-clause "New" or "Revised"

apple2fpga

port de Stephen A. Edwards apple2fpga vers ULX3S.
  • 12

hVHDL_fixed_point

Bibliothèque VHDL de fonctions mathématiques synthétisables à haut niveau d'abstraction pour les fonctionnalités de multiplication, de division et sin/cos et les transformations abc vers dq.
  • 10
  • MIT

neorv32-examples

Quelques exemples neorv32 pour les cartes Intel FPGA utilisant Quartus II et SEGGER Embedded Studio pour RISC-V..
  • 9

pico-png

Encodeur PNG, implémenté en VHDL.
  • 9
  • Mozilla Public License 2.0

hVHDL_floating_point

bibliothèque à virgule flottante VHDL de haut niveau pour la synthèse dans fpga.
  • 9
  • MIT

Image-Generator-for-FPGA-Evaluation-Board

Conception d'un générateur d'images pour représenter une scène de rue. Peut être utilisé comme conception autonome pour le générateur d'images ou comme générateur de motif de test pour un circuit de détection de voie.
  • 7
  • GNU General Public License v3.0

FPGA-FIR-Filter

Conférence sur le filtre FIR sur un FPGA.
  • 7
  • GNU General Public License v3.0

jcore-j1-ghdl

Une conception simple ciblant iCE40 up5k avec GHDL + Yosys..
  • 7